EV Group Hybrid Bonding, Maskless Lithography and Layer Transfer Solutions for Heterogeneous Integration to be Highlighted at ECTC 2024

Papers to highlight breakthrough capabilities of EVG’s hybrid bonding, LITHOSCALE® maskless lithography and IR layer release technology for advanced packaging applications

 

ST. FLORIAN, Austria, May 15, 2024—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today announced that new developments in heterogeneous integration enabled by its advanced wafer-to-wafer (W2W) and die-to-wafer (D2W) bonding, lithography, and revolutionary infrared (IR) laser release solutions will be highlighted in multiple papers being presented next week at the 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), to be held May 28-31 in Denver, Colo.

EVG has a record seven papers and three posters in the ECTC technical program this year:

  • “0.5 μm Pitch Wafer-to-Wafer Hybrid Bonding at Low Temperatures With SiCN Bond Layer” (Session 8, Sub-Micron Scaling in Wafer-to-Wafer Hybrid Bonding Wed., May 29, 4:25pm) – co-authored with Applied Materials
  • “IR Laser Release for 3D Stacked Devices: Effect of the Release Stack Structure on the Debonding Mechanism” (Session 9, Advanced Processes for Chip Stacking Wed., May 29, 2:00pm) – co-authored with imec
  • “Investigation of Distortion in Wafer-to-Wafer Bonding With Highly Bowed Wafers” (Session 10, Novel 3D Integration and Hybrid Bonding SolutionsWed., May 29, 2:00pm) – co-authored with imec
  • “Moving Towards Microchannel-Based Chip Cooling” (Session 10, Novel 3D Integration and Hybrid Bonding Solutions – Wed., May 29, 4:05pm) – co-authored with SEMI, SPTS Technologies, Meta Platforms, Taiwan Semiconductor Manufacturing Company (TSMC), HP, Google and Advanced Semiconductor Engineering (ASE)
  • “Novel Inorganic IR Release Process for High Temperature W2W and D2W Integration” (Session 10, Novel 3D Integration and Hybrid Bonding Solutions – Wed., May 29, 4:25pm)
  • “Development of UV-Curable Molding Materials With Minimum Die-Shift for FOWLP/FOPLP” (Session 21: Innovations in Polymer Packaging Materials – Thu., May 30, 2:20pm) – co-authored with DELO Industrial Adhesives
  • “Ultra High Density RDL Patterning of High-Resolution Dielectrics by Maskless Exposure Technology for High Performance Computing and Artificial Intelligence” (Session 33: Fine-Pitch Materials and Processes – Fri., May 31, 3:45pm) – co-authored with Fujifilm Electronic Materials and Fujifilm Electronic Materials Europe
  • “Multiphysics Overlay Modeling of Monolithic 3D Fusion and Hybrid Bonding Processes” (Session 37: Interactive Presentations – Wed., May 29, 10:00am – 12:00pm)
  • “300 nm Pitch W2W HBI for CFET and 3D DRAM Through Module Co-Optimization” (Session 40: Interactive Presentations – Thu., May 30, 2:30 – 4:30pm) – co-authored with Applied Materials
  • “Investigation on the Use of Al-Ge Eutectic Bonding in the Structural Part of a Multilayer Stacked MEMS Device” (Session 40: Interactive Presentations– Thu., May 30, 2:30 – 4:30pm) – co-authored with imec

EVG Heterogeneous Integration Solutions

EVG’s wafer bonding, lithography and metrology solutions enable the development and high-volume manufacturing of technology innovations in advanced packaging—including backside illuminated CMOS image sensors and other 3D-IC stacked devices—as well as in MEMS and compound semiconductors. Recent breakthroughs in hybrid bonding to address the needs for 3D device integration, wafer bond alignment technology to address future 3D-IC packaging requirements, IR laser release technology to eliminate glass substrates for advanced packaging and enable thin-layer 3D stacking, maskless exposure for Fan-out wafer level packaging (FOWLP), and nanoimprint lithography (NIL) to support wafer-level optics (WLO) manufacturing, are just a few examples of EVG’s technology leadership in heterogeneous integration and wafer-level packaging.

EVG also engages with companies and research groups across the technology supply chain to develop high-volume manufacturing solutions that drive new innovations in semiconductors, sensors and photonics for a wide variety of automotive, medical, industrial and home entertainment applications.

See EVG at ECTC

ECTC attendees interested in learning more about EVG and its suite of wafer bonding, lithography and metrology solutions for heterogeneous integration are invited to visit EVG at Booth 522 on May 29-31 at The Gaylord Rockies Resort & Convention Center in Denver, Colo.

About EV Group (EVG)

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.

Contacts:

Clemens Schütte
Director, Marketing and Communications
EV Group
Tel: +43 7712 5311 0
E-mail: Marketing@EVGroup.com

David Moreno
Principal
Open Sky Communications
Tel: +1.415.519.3915
E-mail: dmoreno@openskypr.com